Меню

7 нм техпроцесс: Технологический процесс в электронной промышленности — Википедия – о чем говорит технологический процесс процессора

5 нм и 3 нм / Habr

На горизонте появляются новые транзисторные структуры, новые инструменты и процессы – а с ними и куча проблем


Несколько фабрик пытаются вывести на рынок техпроцессы на 5 нм, однако их клиентам предстоит решить – проектировать новые чипы на текущих транзисторах, или перейти на новые, созданные в техпроцессе 3 нм.

Для перехода нужно либо расширить текущие finFET на 3 нм, либо реализовать новую технологию кольцевого затвора [gate-all-around FET, GAA FET] на 3 нм или 2 нм. GAA FET – это следующий этап эволюции по сравнению с finFET, они быстрее работают, однако эти новые транзисторы сложнее и дороже в производстве, и переход на них может оказаться слишком болезненным. С другой стороны, индустрия разрабатывает новые технологии травления, структурирования и т.д., чтобы расчистить дорогу к этим новым техпроцессам.

Даты выпуска этих GAA FET разнятся от фабрики к фабрике. Samsung и TSMC делают finFET на 7 нм, и в этом году планируют переделать finFET на 5 нм, а также выпускать чипы в диапазоне полушага от 5 нм. Такие техпроцессы позволят улучшить как скорость работы, так и энергопотребление.


Что касается 3 нм, то тут Samsung через год-два планирует перепрыгнуть на нанолистовые FET – новый тип GAA-транзистора. TSMC же планирует впервые выпустить finFET на 3 нм. Следующим этапом TSMC выпустит GAA на 3 нм или 2 нм – так считают многие аналитики и поставщики оборудования.

«TSMC ускоряет разработку finFET на 3 нм, представляющих собой ужатые версии 5 нм, — Сказал Хэндел Джонс, директор IBS. – Производство первых пробных экземпляров finFET на 3 нм TSMC начнёт в 2020-м. Промышленные выпуски продукции запланированы на 3-й квартал 2021 года, что на квартал раньше запуска техпроцесса на 3 нм от Samsung. Разработка GAA в TSMC отстаёт от Samsung на 12-18 месяцев, но агрессивная стратегия по выпуску finFET на 3 нм может скомпенсировать это отставание».

TSMC продолжает оценивать свои варианты на 3 нм, и планы ещё могут поменяться. Пока компания не комментирует ситуацию, но обещает вскоре раскрыть свои планы на 3 нм. Тем не менее, переход TSMC на 3 нм finFET – логичный шаг. Переход к новым транзисторам может неблагоприятно повлиять на клиентов. Но в конечном итоге finFET исчерпает свои возможности, поэтому у TSMC нет другого выбора, кроме как переходить на GAA.

Другие компании тоже разрабатывают передовые процессы. Intel, эпизодически участвующая в коммерческом производстве, выпускает чипы на 10 нм, изучая 7 нм в лаборатории. Тем временем SMIC делает finFET на 16 нм/12 нм, исследуя при этом в лаборатории 10 нм/7 нм.

Все передовые процессы требуют значительных финансов, и не все чипы требуют использования 3 нм или других передовых технологий. Рост цен заставляет компании исследовать другие варианты развития. Ещё один способ получить преимущества масштабирования – новые виды корпусов передовых чипов. Несколько компаний разрабатывают такие корпуса.


Рабочее напряжение различных технологий

Пришёл ли конец масштабированию?


Чипы состоят из транзисторов, контактов и их соединений. Транзисторы играют роль переключателей. Передовые чипы могут содержать до 35 млрд транзисторов.

Соединения, находящиеся на верхней части транзистора, состоят из крохотных медных проводков, проводящих электрические сигналы между транзисторами. Транзисторы и проводки соединяются промежуточным слоем middle-of-line (MOL). MOL состоит из крохотных контактов.

Масштабирование интегральных схем (ИС), их традиционный способ развития, заключается в уменьшении размеров ИС с каждым новым техпроцессом и с упаковкой их на монолитном кристалле.

Для этого производители чипов каждые 18-24 месяца представляют новый технологический процесс, обеспечивающий всё большую плотность упаковки транзисторов. Каждому процессу даётся числовое название. Изначально эти названия были связаны с длиной вентиля транзистора.

Для каждого следующего процесса производители масштабируют спецификации транзисторов в 0,7 раз, что позволяет индустрии увеличивать быстродействие на 40% при том же энергопотреблении и уменьшать размер на 50%. Масштабирование чипов позволяет выпускать новые, более функциональные электронные продукты.

Формула работала, и производители чипов постепенно меняли техпроцессы. Но на рубеже 20 нм произошло изменение – традиционные плоские транзисторы выбрали весь свой ресурс. С 2011 года производители перешли на finFET, что позволило масштабировать устройства далее.

Однако finFET дороже в производстве. В результате стоимость исследований и разработок взлетела до небес. Поэтому периоды перехода от одного техпроцесса к другому увеличились с 18 до 30 и более месяцев.

Компания Intel следовала общей тенденции масштабирования в 0,7 раз. Однако начиная с 16 нм/14 нм другие производители отошли этот этой формулы, что внесло некоторую неразбериху.

В этот момент нумерация техпроцессов начала размываться и потеряла связь со спецификациями транзисторов. Сегодня эти названия– всего лишь маркетинговые термины. «Обозначение техпроцессов становится всё менее осмысленным и понятным, — сказал Сэмюэл Вон, аналитик в Gartner. – К примеру, на 5 нм или 3 нм нет ни одного геометрического размера, равного 5 или 3 нм. Кроме того, у разных производителей процессы становятся всё более разными. Для одного и того же техпроцесса эффективность чипов отличается у TSMC, Samsung и, конечно же, Intel.

Масштабирование замедляется и у передовых техпроцессов. Для процесса на 7 нм шаг затвора транзистора [contacted poly pitch, CPP] равняется 56-57 нм с металлическим шагом в 40 нм, согласно информации компаний IC Knowledge и TEL. Для 5 нм CPP примерно равен 45-50 нм с металлическим шагом 26 нм. CPP, ключевая метрика транзисторов, обозначает расстояние между контактами истока и стока.

Кроме того, соотношение стоимости и быстродействия выглядит уже совсем не так, из-за чего многие считают, что закон Мура уже изжил себя.

»Закон Мура на самом деле лишь наблюдение, ставшее самоисполняющимся пророчеством, поддерживающим движение полупроводниковой промышленности вперёд. Экономический аспект закона Мура начал ухудшаться с ростом стоимости многократного структурирования [multiple patterning] и экстремальной ультрафиолетовой литографии (EUV), — сказал Даглас Гуереро, главный технолог из Brewer Science. – Новые архитектуры и дизайны обеспечат прирост вычислительной мощности, но это будет уже не масштабирование. Это означает, что в будущем чипы увеличат вычислительную мощность, но их стоимость не обязательно будет уменьшаться с той же скоростью, что и ранее».

Масштабирование не то, чтобы совсем прекращается. ИИ, сервера и смартфоны требуют всё более быстрых чипов и продвинутых техпроцессов. «Лет десять назад некоторые люди спрашивали: Да кому нужно ещё больше транзисторов? Некоторые считали, что в мире уже не осталось идей по поводу того, что делать с более быстрыми компьютерами, кроме совсем экзотических применений, — сказал Аки Фуджимура, директор D2S. – Сегодня для интернета вещей меньшая стоимость, достаточно хорошее быстродействие и возможности по интеграции выигрывают у простого увеличения плотности. Однако для изготовления более быстрых и экономных чипов, у которых стоимость транзисторов будет падать, требуются более быстрые транзисторы».

Очевидно, не для всего нужны передовые техпроцессы. На чипы, производимые по хорошо отлаженным техпроцессам, Есть высокий спрос. «Сюда входят ИС для работы с радиоволнами и OLED-дисплеями в смартфонах, а также ИС для управления питанием, которые используются в компьютерах и твердотельных накопителях», — сказал Джейсон Вон, один из президентов UMC.

Масштабирование finFET


В вопросе масштабирования чипов производители годами шли по одной и той же схеме, с идентичными типами транзисторов. В 2011 году Intel перешла на finFET на 22 нм, а затем на 16 нм/14 нм.

В finFET управление током осуществляется размещением вентилей на всех трёх сторонах плавника. У finFET бывает от 2 до 4 плавников. У каждого – своя определённая ширина, высота и форма.

У finFET первого поколения от Intel на 22 нм шаг плавника составлял 60 нм, а высота – 34 нм. Затем, на 14 нм шаг и высота были одинаковыми, 42 нм.

Intel сделала плавники выше и тоньше, чтобы масштабировать finFET. «Масштабирование finFET уменьшает поперечные размеры устройства, увеличивая плотность по площади, а увеличение высоты плавника улучшает быстродействие», — написала у себя в блоге Нерисса Дрэгер, директор по связи с университетами в компании Lam Research.

На техпроцессах 10 нм/7 нм изготовители чипов пошли по тому же пути масштабирования finFET. В 2018 году TSMC начала производство первых finFET на 7 нм, за ней последовала Samsung. Intel в прошлом году после нескольких задержек начала производство на 10 нм.

В 2020 году конкуренция фабрик увеличится. Samsung и TSMC подготавливают 5 нм и различные полуцелые техпроцессы. Ведутся исследования касательно 3 нм.

Все процессы стоят дорого. Стоимость проектирования чипа на 3 нм составляет $650 млн – сравните это с $436,3 млн для устройства на 5 нм и $222,3 млн для устройства на 7 нм. Это стоимость такой разработки, после которой через год технология уже уходит в производство.

По сравнению с 7 нм, finFET на 5 нм от Samsung дадут 25% увеличение логической площади, и 20% уменьшение потребления энергии или 10% увеличение скорости.

Для сравнения, finFET на 5 мм от TSMC предлагает «скорость на 15% больше при том же энергопотреблении или уменьшение энергопотребления на 30% при той же скорости, с увеличением логической плотности в 1,84 раза», — сказал Джоффри Йеп, главный директор по передовым технологиям в TSMC.

В техпроцессах на 7 нм и 5 нм производители чипов провели серьёзные изменения. Для изготовления критически важных функций в чипах, две компании перешли от традиционной литографии на 193 нм к экстремальной ультрафиолетовой литографии (EUV). EUV использует длины волн в 13,5 нм, что упрощает процесс.

Но EUV не решает всех проблем масштабирования чипов. «Решение этих задач требует множества технологий, не ограничивающихся масштабированием, включая использование новых материалов, новых типов встроенной энергонезависимой памяти и архитектур передовой логики, новых подходов к травлению, инноваций в производстве корпусов и дизайна чиплетов», — сказала Реджина Фрид, управляющий технологический директор в Applied Materials.

Тем временем, за кулисами Samsung и TSMC подготавливают свои варианты техпроцессов на 3 нм. В прошлом производители чипов шли по одному пути, но сегодня их пути расходятся.

«3 нм бывает в различных вариантах, таких, как finFET и GAA, — сказал Вон. – Это даёт возможность клиентам выбирать различные сочетания стоимости, плотности, энергопотребления и скорости, так, чтобы удовлетворить свои запросы».

Samsung обещает представить нанолистовую FET на 3 нм. TSMC тоже работает над ними, но планирует продлить использование finFET на следующее поколение. «У TSMC будет finFET на 3 нм в третьем квартале 2021 года, — сказал Джонс. – GAA от TSMC появятся в 2022-2023 годах».

Вот тут клиенты фабрик должны взвесить все за и против, касающиеся стоимости и технических компромиссов. Расширение finFET – безопасный путь. «Многие клиенты считают TSMC наименее рискованным производителем», — сказал Джонс.

Тем не менее, GAA обеспечивает некоторое увеличение быстродействия. «У GAA на 3 нм меньше пороговое напряжение, и потенциально на 15-20% меньше энергопотребление, по сравнению с finFET на 3 нм, — сказал Джонс. – Однако разница в быстродействии будет на уровне 8%, поскольку MOL и BEOL одинаковые».

Backend-of-the-line (BEOL) и MOL – узкие места в передовых чипах. Проблема MOL заключается в сопротивлении контактов.

BEOL – это этап производства, на котором происходит подсоединение проводков. Из-за их постепенного уменьшения возникают задержки, связанные с ёмкостным сопротивлением. В finFET и GAA используются разные транзисторы, но схемы их соединения в техпроцессе 3 нм, скорее всего, будут почти одинаковыми. Задержки, связанные с ёмкостным сопротивлением, будут вредить обоим типам транзисторов.

Есть и другие проблем. finFET исчерпают свои возможности, когда ширина плавника достигнет 5 нм. finFET на техпроцессах 5 нм/3 нм уже упираются в этот предел.

Кроме того, finFET на 3 нм могут состоять из одного плавника, по сравнению с двумя или большим числом плавников в других техпроцессах. «Чтобы продлить работу finFET на 3 нм, нам понадобятся особые технологии, увеличивающие мощность единственного плавника и уменьшающие паразитные явления», — сказал Наото Хоригучи, директор по КМОП в Imec.

Один из способов продлить работу finFET на 3 нм – перейти на германий для p-канала. finFET на 3 нм с каналами высокой пропускной способности помогут увеличить скорость работы чипов, однако столкнутся с определёнными проблемами с интеграцией.

Переход на нанолисты


В конечном счёте finFET перестанут масштабироваться, и производителям чипов придётся перейти на новые транзисторы, а именно на нанолистовые FET.

Нанолистовые FET начали набирать обороты в 2017-м, когда Samsung представила Multi Bridge Channel FET (MBCFET) на 3 нм. MBCFET – это нанолистовые FET. Пробные образцы начнут производить уже в этом году, а промышленный выпуск начнётся в 2022-м.

TSMC тоже работает с нанолистовыми FET, представляющими собой один из типов GAA-транзисторов. Нанолистовые FET обеспечивают небольшое преимущество для масштабирования finFET на 5 нм, но у них есть несколько преимуществ.

Нанолистовой FET – это, по сути, finFET, положенный набок, и обёрнутый затворами. Нанолист состоит из нескольких отдельных тонких горизонтальных листов, положенных друг на друга. Каждый лист – это отдельный канал.

Вокруг каждого листа находится затвор, и в результате получается кольцевой транзистор. Теоретически, нанолистовые FET дают большее быстродействие при меньших утечках, поскольку управление током осуществляется с четырёх сторон структуры.

Изначально в нанолистовых FET будет примерно по четыре листа. «Ширина типичного нанолиста составляет от 12 до 16 нм, а толщина – 5 нм», — сказал Хоригучи.

Этим нанолист отличается от finFET. Количество плавников у finFET ограничено, что стесняет работу дизайнеров. «Преимущество нанолиста в том, что у него можно менять ширину. Ширину можно подбирать по запросам дизайнера. Это даёт им некоторую свободу. Они могут обнаружить лучший вариант по соотношению энергопотребления и скорости», — сказал Хоригучи.

К примеру, у транзистора с более широким листом будет больше и ток возбуждения. Узкий лист позволяет сделать устройство меньше размером с меньшим током возбуждения.

Нанолисты связаны с технологией нанопроводов, в которой каналами служат провода. Ограничение ширины канала приводит к ограничению тока возбуждения.

Поэтому нанолистовые FET и набирают обороты. Однако у этой технологии и у finFET на 3 нм есть несколько проблем. «Проблемы finFET связаны с квантовым управлением ширины плавника и профиля плавника. Проблемы нанолистов связаны с дисбалансом p/n, эффективностью нижнего листа, прослоек между листами, управлением длиной вентиля», — сказал Джин Кай, заместитель директора TSMC, во время презентации на IEDM.

Учитывая все эти сложности, на ввод технологии нанолистовых FET уйдёт какое-то время. «У перехода на новые архитектуры транзисторов есть много препятствий, — сказал Герреро. – Определённо для этого потребуются новые материалы».

В простейшем варианте процесса изготовление нанолистового FET начинается с формирования сверхрешётки на подложке. Эпитаксиальный инструмент располагает на подложке перемежающиеся слои кремний-германиевого сплава (SiGe) и кремния. Стопка будет состоять как минимум из трёх слоёв SiGe и трёх слоёв кремния.

Затем в сверхрешётке при помощи структурирования и травления формируются вертикальные плавники, для чего требуется очень точное управление процессом.

Потом начинается один из самых сложных этапов – формирование внутренних прокладок. Во-первых, внешние части слоёв SiGe утапливаются в сверхрешётке заподлицо. Это создаёт небольшие углубления, заполняемые диэлектриком. «Внутренние прокладки нужны для уменьшения ёмкости вентиля, — сказал Кай. – Их изготовление – важнейшая часть процесса».

И такие технологии уже есть — IBM и TEL недавно описали новую технику травления, подходящую как для внутренних прокладок, так и для выпуска каналов. Для этого используется изотропное сухое травление SiGe с соотношением 150:1.

Такая технология позволяет получать очень точные внутренние прокладки. «Изготовление выемок в SiGe требует очень выборочного бокового „слепого“ травления слоёв», — сказал Николас Лубе, менеджер по R&D в IBM.

Затем формируются исток и сток. После этого слои SiGe удаляются из сверхрешётки при помощи травления. Остаются кремниевые слои, или листы, составляющие каналы.

В структуру помещаются High-k-материалы, и наконец, формируются соединения MOL, что и даёт нанолист.

Это упрощённое описание этого сложного процесса. Тем не менее, как и любые новые технологии, нанолисты могут быть подвержены появлению дефектов. Требуется дополнительное изучение и измерение всех шагов.

«Как и с предыдущими переходами между технологиями, мы видим проблемы, связанные с изучением и измерением нанолистов», — сказал Чет Ленокс, директор по решениям управлением процессами в KLA. «Может появиться много дефектных режимов как во внутренних прокладках, так и в нанолистах. Производителям ИС необходимы точные размеры отдельных нанолистов, а не просто средняя величина каждой стопки, чтобы уменьшить изменчивость своих производственных процессов».

Для этого тоже требуются новые технологии. К примеру, Imec и Applied Materials недавно представили работу по технологии «scalpel scanning spreading resistance microscopy» (s-SSRM) для создания кольцевых затворов. В технологии s-SSRM крохотный скальпель откалывает небольшую часть структуры, и в этот разрез можно добавлять легирующие примеси.

Другие варианты


В рамках R&D Imec разрабатывает более передовые виды GAA, такие, как CFET и вилочно-листовые FET (forksheet FET), нацеленные на 2 нм и меньше.

К тому времени для большинства производителей масштабирование ИС станет слишком дорогим, в особенности в свете уменьшения преимуществ по энергопотреблению и быстродействию. Поэтому всё большую популярность набирают передовые компоновки чипов. Вместо того, чтобы запихивать все функции на один кристалл, предполагается разбивать устройства на более мелкие кристаллы и интегрировать их в передовые корпуса.

«Это всё зависит от области применения, — сказал Рич Райс, старший вице-президент по бизнес-развитию ASE. – Мы определённо наблюдаем увеличение подобных попыток, даже в техпроцессах, ушедших глубоко в субмикронные размеры. Это развитие будет продолжаться и далее. Многие компании занимаются этим. Они решают, могут ли они интегрировать чипы на 5 нм, и хотят ли. Они активно ищут способы разбивания систем».

Это не так-то легко сделать. Плюс существует несколько вариантов корпусов с различными компромиссами — 2.5D, 3D-ICs, чиплеты и fan-out.

Заключение


Определённо не всем потребуются такие передовые техпроцессы. Однако Apple, HiSilicon, Intel, Samsung и Qualcomm не зря рассчитывают на передовые технологии.

Потребителям нужны самые новые и лучшие системы с увеличенным быстродействием. Вопрос лишь в том, дадут ли новые технологии какие-либо реальные преимущества по приемлемой цене.

Intel разъяснила, как 7-нм техпроцесс поможет ей выжить

  • Новые техпроцессы сперва будут внедряться при производстве серверных продуктов.
  • Дискретный графический процессор образца 2021 года будет уникален во многом: применение EUV-литографии, пространственная компоновка с несколькими кристаллами и первый опыт Intel выпуска серийного продукта по 7-нм технологии.
  • Intel не теряет надежды освоить 5-нм технологию.
  • После освоения 7-нм технологии доходы инвесторов и самой компании должны возрасти.

На мероприятии Intel для инвесторов было сказано, что первым 7-нм продуктом станет графический процессор для серверного применения, который выйдет в 2021 году. Перед этим в 2020 году будет выпущен 10-нм графический процессор, сферу применения которого компания не уточняет. Нельзя исключать, что он будет игровым, поскольку о наличии подобных планов корпорация уже не первый месяц подряд сообщает при каждом удобном случае.

Начинать освоение нового техпроцесса с не самого привычного для себя продукта — шаг довольно смелый, и соответствующий вопрос озадачил посетивших мероприятие Intel отраслевых аналитиков. Отвечать на этот вопрос под занавес сессии вопросов и ответов пришлось Венкате Рендучинтале (Venkata Renduchintala), который в компании курирует направление инженерных разработок.

Он пояснил, что графические процессоры являются наименее рискованным видом продукции при переходе на новую литографическую технологию, поскольку их более однородная структура кристалла с множеством дублирующих блоков позволяет исключать дефектные участки без ущерба для функционирования всего процессора. Другими словами, уровень брака при выпуске графических процессоров будет ниже, а это непосредственным образом благоприятно повлияет на затраты компании.

Серверный сегмент станет полигоном для обкатки новых техпроцессов

Комментарии отвечающего за развитие серверного направления деятельности Intel Навина Шеноя (Navin Shenoy) по этой же теме были не менее интересными. Он признался, что с недавних пор Intel решила первым делом при освоении новых литографических норм выпускать именно серверные продукты. Так произойдёт с первым 7-нм графическим процессором, который выйдет в 2021 году. Он найдёт применение в ускорителях вычислений для серверов.

Следующим на очереди 7-нм продуктом, по словам Шеноя, является некий центральный процессор для серверного сегмента. Назвать его имя представитель Intel не взялся, но можно предположить, что речь идёт о процессорах семейства Sapphire Rapids, которые выйдут в 2021 году.

Впрочем, к этому допущению следует сделать важную ремарку. Когда о переходе на 7-нм техпроцесс говорил исполнительный директор Intel Роберт Свон (Robert Swan), он подчеркнул, что массовое производство продуктов по 7-нм технологии будет развёрнуто только в 2022 году. В этом случае на роль соответствующего серверного процессора может претендовать уже преемник Sapphire Rapids, ранее упоминавшийся под именем Granite Rapids. По крайней мере, таким было представление о планах Intel ровно год назад.

Почему Intel стремится сперва переводить на новые техпроцессы серверные продукты, понять просто. Именно в этом сегменте компания старается активнее увеличивать выручку и охват рынка, а новый техпроцесс позволяет в среднесрочной перспективе сокращать затраты. Тем более, у Intel в серверном сегменте исторически были самые крупные кристаллы, и даже после перехода на многокристальную компоновку и Foveros относительное положение дел не изменится.

В случае с графическим процессором, на котором будет опробован 7-нм техпроцесс, нужно учитывать ещё и особенности его компоновки. Как уже отмечали представители компании, он будет состоять из разнородных кристаллов, объединённых в пространственной упаковке Foveros. Отдельные кристаллы проще исключать в случае обнаружения на них дефектов. Скорее всего, в настольном сегменте первый 10-нм графический процессор Intel подобными компоновочными преимуществами будет обделён, поскольку пока они негативно сказываются на конечной стоимости продукта. В серверном же сегменте маржа выше, и можно реализовать идеи по совершенствованию компоновки.

Надежды на финансовое благополучие Intel связывает с эпохой после освоения 7-нм техпроцесса

Роберт Свон подчеркнул, что при освоении 7-нм технологии компания постарается не повторять ошибок, сделанных в период подготовки к переходу на 10-нм техпроцесс. Расходы на освоение 7-нм технологии придётся осуществлять в условиях ужесточения финансовой дисциплины и масштабной реструктуризации компании, крупнейшей за всю историю её существования. Однако когда будет налажен массовый выпуск 7-нм продуктов, Intel рассчитывает улучшить финансовые показатели операционной деятельности. После 2022 года, когда 7-нм продукты начнут поставляться в больших количествах, компания рассчитывает улучшить удельную доходность в пересчёте на одну акцию. Расширение ассортимента 7-нм продуктов Intel обещает быть самым быстрым в истории компании, как сообщают её руководители инвесторам.

Когда Венкату Рендучинталу спросили, не смущает ли его отставание Intel от ближайшего конкурента TSMC, который в 2021 году уже освоит 5-нм технологию, представитель первой из компаний невозмутимо заявил, что имеет значение способность Intel своевременно выпускать запланированные продукты, а не гонка за передовыми техпроцессами сама по себе.

В выступлении главы Intel проскочило упоминание о намерениях освоить 5-нм техпроцесс, пусть и без привязки к конкретному календарному периоду. По всей видимости, ранее 2023–2024 годов мы 5-нм серийных продуктов Intel не увидим. История с освоением 10-нм технологии показала, что загадывать на такой долгий срок опасно.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

7-нм технологический процесс будет использовать элементы 10-нм технологии

Taiwan Semiconductor Manufacturing Co. раскрыла некоторые подробности о 7-нм технологическом процессе для изготовления микросхем. Согласно заявлению высокопоставленного руководителя TSMC, новый техпроцесс использует элементы 10-нм норм производства. Хотя подобный подход имеет ряд преимуществ, он также имеет свои недостатки. Компания подтвердила планы начать опытное производство по новой технологии в начале 2017 года и перейти к массовому выпуску микросхем по данному процессу в 2018.

7 в 18

«Разработка технологического процесса 7 нм идёт полным ходом», — сказал Марк Лью (Mark Liu), исполнительный содиректор TSMC, в ходе телефонной конференции с инвесторами и финансовыми аналитиками. «У нас есть команда, работающая параллельно по этой программе. Мы планируем начать квалификационное производство по 7-нм технологии в первом квартале 2017 года, через пять кварталов после начала опытного производства по техпроцессу 10 нм».

Производственный комлекс TSMC fab 15

Производственный комлекс TSMC fab 15

Время выхода 7-нм технологии TSMC на рынок — первый или второй квартал 2018 года — кажется феноменально ранним, поскольку массовое производство по технологии 10 нм стартует в 2017 году. Тем не менее, по всей видимости, 7-нм техпроцесс TSMC не является чем-то совершенно новым, разработанным с чистого листа. Согласно заявлению господина Лью, технологический процесс 7 нм (чьё официальное название пока неизвестно) будет иметь «родство» (relationship) с 10-нм технологией, аналогичное тому, что мы видели в случае с 16-нм и 20-нм процессами TSMC.

Родственные связи

Исполнительный содиректор TSMC не уточнил характер «родственной связи» между двумя технологиями производства микросхем, но сказал, что это позволит компании продолжить использовать те же инструменты для инспекции дефектов, моделирования, метрологии и т.д., что и в случае с процессом 10 нм. Кроме того, опыт, который TSMC получит в ходе производства интегральных схем по техпроцессу 10 нм, поможет компании получить высокий выход годных уже в начале использования 7-нм технологии.

300-мм подложка TSMC с удалёнными бракованными микросхемами

300-мм подложка TSMC с удалёнными бракованными микросхемами

Как известно, 20-нм и 16-нм технологические процессы Taiwan Semiconductor Manufacturing Co. используют одни и те же слои с межблочными соединениями, контактами, диэлектриками и т. п. (т. н. back end of line, BEOL), но различаются применением транзисторов с вертикально расположенным затвором (FinFET) в 16-нм варианте. В результате, хотя 16-нм технологические процессы дают возможность серьёзно повысить тактовые частоты и понизить энергопотребление, геометрические размеры чипов, произведённым по 20-нм и 16-нм технологиям, одинаковы. Относительно низкая плотность транзисторов у 16-нм технологических процессов TSMC делают эти технологии весьма дорогими для использования компаниями, которым требуются микросхемы с большим количеством функциональных блоков. С другой стороны, использование знакомых производителю слоёв BEOL во многом гарантирует отсутствие дефектов в них, что увеличивает выход годных микросхем в начале жизненного цикла новых технологий.

Масштабирование под вопросом

К сожалению, компания TSMC не раскрыла никаких фактических сведений о 7-нм технологическим процессе, а также о том, какие именно элементы 10-нм технологии он будет использовать.

Официально компания обещает, что 7-нм техпроцесс позволит увеличить тактовые частоты и улучшить «масштабирование» микросхем. Однако, если 7 нм продолжит использовать межблочные соединения и диэлектрики от технологии 10 нм, трудно ожидать от неё сильного увеличения плотности транзисторов. С другой стороны, поскольку 10-нм техпроцесс обеспечивает посредственное увеличение частотного потенциала (до 15 %) и среднее снижение энергопотребления (до 35 %) по сравнению с продвинутой технологией 16 нм (CLN16FF+), 7-нм технология может оказаться ровно тем, что потребуется рынку для высокопроизводительных микросхем в середине 2018 года.

В «чистой комнате» производственного комплекса TSMC

В «чистой комнате» производственного комплекса TSMC

Новые реалии

В прошлом десятилетии компании TSMC и UMC предлагали улучшенные версии своих основных технологий, которые получались путём дополнительной подстройки фотолитографических сканеров. Именно так мир увидел 110-нм технологию (улучшенная 130-нм), 80-нм (уменьшенная 90-нм), 55-нм и т.д. Подобные техпроцессы использовали те же библиотеки элементов, средства автоматизированного проектирования и производственное оборудования, что и «полноценные». Однако благодаря тонкой настройке сканеров, «ужатые» техпроцессы помогали уменьшить площадь кристаллов, увеличить частотный потенциал и/или уменьшить энергопотребление без использования полностью нового технологического процесса и необходимости перепроектировать интегральные схемы.

В настоящее время подобные тонкие настройки сканеров более не применяются. Тем не менее, как видно, контрактные производители микросхем используют элементы своих технологий в разных поколениях техпроцессов. Так, TSMC, Samsung и GlobalFoundries используют BEOL от предыдущего техпроцесса в своих 16-нм и 14-нм технологиях производства. С одной стороны, это помогает избежать ряда проблем и вывести новые техпроцессы на рынок быстрее. С другой, это означает, что по-настоящему новые нормы производства, которые улучшают сразу все параметры микросхем, выходят на рынок всё реже.

300-мм подложка TSMC

300-мм подложки TSMC

Судя по всему, Intel остаётся единственной компанией, которая разрабатывает новые технологические процессы с целью улучшения всех аспектов своих продуктов. К сожалению, разработка таких передовых технологий становится всё сложнее и занимает всё больше времени. Вследствие несовершенства инструментов производства компания недавно заявила, что вынуждена использовать одну и ту же технологию не два, а три года.

Основываясь на различных комментариях руководителей Intel, сделанных в последний год, можно предположить, что компания планирует рассмотреть возможность использования сканеров с длиной волны 13,5 нм (диапазон жёсткого ультрафиолета, EUV), новые материалы, а также новую структуру транзисторов для своей 7-нм технологии.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

Intel представит первый 7-нм продукт в 2021 году

  • Этим продуктом будет графический процессор, предназначенный для ускорения вычислений в серверных системах.
  • Производительность в пересчёте на ватт вырастет на 20 %, плотность размещения транзисторов должна возрасти вдвое.
  • В 2020 году Intel успеет выпустить 10-нм графический процессор.
  • До 2023 года сменится три поколения 7-нм техпроцесса.

Корпорация Intel только что провела мероприятие для инвесторов, которое было призвано вселить в их холодный рассудительный разум уверенность в технологическом и финансовом потенциале этого разработчика центральных и графических процессоров. Да-да, последнему типу компонентов в своих докладах представители Intel уделяли ничуть не меньше внимания, чем центральным процессорам.

В погоне за TSMC

Исполнительный директор Роберт Свон (Robert Swan) рассказывал инвесторам об общем направлении развития и трансформации Intel, но и он счёл нужным заявить, что корпорация будет вкладывать серьёзные ресурсы в сохранение лидерства по литографическим технологиям. На полном серьёзе прогресс Intel в этой сфере сопоставлялся с успехами TSMC. Первые 10-нм процессоры Ice Lake для ноутбуков будут представлены в июне, серверные процессоры Ice Lake-SP появятся в первой половине 2020 года, когда TSMC будет активно снабжать своих клиентов 7-нм продуктами. Ну, а в 2021 году Intel рассчитывает выпустить свои первые 7-нм продукты — к тому времени TSMC будет производить 5-нм изделия.

В целом же, основное повествование о достижениях Intel в области освоения 7-нм техпроцесса вёл вице-президент Венката Рендучинтала (Venkata Renduchintala). Но сперва он пояснил, что 10-н техпроцесс в своём развитии преодолеет три поколения. Первое дебютирует в текущем году (это если не считать предыдущую попытку в лице Cannon Lake), второе получит путёвку в жизнь в 2020 году, а третье уже будет существовать параллельно с 7-нм техпроцессом в 2021 году.

Непосредственно 7-нм техпроцесс первого поколения обеспечит увеличение плотности размещения транзисторов в два раза по сравнению с 10-нм техпроцессом, повышение быстродействия транзисторов на 20 % по соотношению производительности на потребляемый ватт энергии, упрощение процесса проектирования в четыре раза. Впервые Intel будет использовать литографию со сверхжёстким ультрафиолетовым излучением именно в рамках 7-нм технологии. Кроме того, на этом же этапе будут внедрены разнородная компоновка Foveros и подложка EMIB нового поколения.

Сам 7-нм техпроцесс, если верить презентации Intel, тоже пройдёт в своём развитии через три фазы, каждый год будет появляться новая, вплоть до 2023 года включительно. В полной мере в рамках 7-нм технологии будет использоваться компоновка, позволяющая объединять разнородные кристаллы на одной подложке — так называемые «чиплеты».

Первенцем на 7-нм техпроцессе станет дискретное графическое решение

Первый продукт, выпускаемый по 7-нм технологии, должен быть представлен в 2021 году. Уже сейчас известно, что это будет графический процессор общего назначения, который найдёт применение в центрах обработки данных и системах искусственного интеллекта. Хотя ранее представители Intel всячески противились тому, чтобы называть «Intel Xe» архитектурой, в презентации для инвесторов они именно это и делают. Важно отметить, что 7-нм первенец будет собран из разнородных кристаллов и возьмёт на вооружение продвинутые методы компоновки.

Intel особо подчёркивает, что до этого в 2020 году выйдет дискретный графический процессор, который будет производиться по 10-нм технологии. Вполне возможно, что он ограничит сферу своего применения потребительским сегментом, а 7-нм вариант Intel прибережёт для серверного сегмента. Как уже отмечалось ранее, дискретные графические процессоры Intel будут использовать архитектуру, унаследованную от интегрированных графических ядер. Предшественником этих продуктов будет графика поколения Gen11, которую Intel будет встраивать во многие свои 10-нм изделия.

Когда очередь дошла до нового финансового директора Intel Джорджа Дэвиса (George Davis), он поспешил заявить, что в погоне за улучшением потребительских качеств продуктов при переходе с 10-нм на 7-нм техпроцесс компания постарается рачительно подходить к расходованию средств. Ну, а после освоения 7-нм техпроцесса выпуск продуктов новых поколений должен обеспечить повышение удельного дохода инвесторов в расчёте на одну акцию.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

7-нм EUV-техпроцесс Samsung обеспечит удвоенную энергоэффективность

На днях Samsung подтвердила планы использования сканеров EUV для выпуска DRAM. А во время конференции VLSI раскрыла подробности о своей 7-нм технологии, основанной на EUV. Новая литография предлагает широкий спектр преимуществ для чипов компании, которые, как ожидалось, могут появиться уже в Galaxy S10. Samsung собирается первой на рынке начать применение технологии EUV, сулящей ряд выгод по сравнению с традиционными инструментами, поставляемыми ASML или Nikon.

Первый коммерческий сканер ASML для EUV-литографии (NXE:3300B)

Первый коммерческий сканер ASML для EUV-литографии (NXE:3300B)

Сегодня литографические инструменты используют свет с длиной волны 193 нм. EUV (фотолитография в глубоком ультрафиолете) — следующее поколение инструментов печати чипов, оснащённых сканерами с длиной волны 13,5 нм. Например, новые сканеры уже позволили Samsung создать самые маленькие FinFET-транзисторы в мире. В целом новый 7-нм техпроцесс компании, как сообщает корейский производитель, позволяет на 40 % увеличить плотность логики чипов по сравнению с её 10-нм нормами, используемыми в Snapdragon 845 и Exynos 9810.

Это не единственное преимущество: Samsung говорит о повышении на 70 % точности фотошаблонов, что позволяет сократить количество циклов обработки и, соответственно, заметно снизить себестоимость. По сравнению со 193-нм сканерами новое EUV-оборудование от ASML также помогает быстрее наращивать долю выхода годных кристаллов, что тоже увеличивает экономию.

Первый коммерческий сканер ASML для EUV-литографии (NXE:3300B)

Уже сейчас корейский гигант добился производства 256-Мбит тестовых кристаллов SRAM с использованием 7-нм норм с долей выхода годных чипов более 50 процентов. Кроме того, компания отпечатала полностью работоспособную 7-нм однокристальную систему с четырёхъядерным CPU и шестиядерным GPU. Samsung говорит, что новые 7-нм нормы EUV обеспечивают рост производительности на 20–30 % или снижение энергопотребления на 30–50 % (то есть до двух раз).

К сожалению, процесс перехода от рискового производства в этом году до полноценной массовой печати кристаллов может занять у Samsung 12 месяцев и даже более. Другими словами, не стоит рассчитывать, что новый флагманский кристалл Samsung, который придёт на смену Exynos 9820, будет произведён с соблюдением 7-нм норм EUV. По-видимому, в Galaxy S10 будет использоваться чип на базе 8-нм LPP техпроцесса Samsung — такой же применяется якобы в производстве Snapdragon 730. Кстати, Samsung подтвердила, что может перевести чипы Qualcomm с 10-нм FinFET-печати на 8-нм техпроцесс, так что вполне можно ждать анонса ряда новых 8-нм процессоров Snapdragon.

Тем временем TSMC придерживается другого подхода в освоении 7-нм норм: тайваньская компания опирается на отработанные литографические инструменты вместо освоения ULV-сканеров. За счёт этого её техпроцесс CLN7FF уже готов к массовому производству, а к концу года ожидается, что количество 7-нм продуктов, достигших стадии tapeout, превысит 50 и будет включать однокристальные системы, серверные CPU, графические и ИИ-ускорители, FPGA и сетевые процессоры. Одним из них выступает, по слухам, Apple A12, который появится в новых iPhone.

Первый коммерческий сканер ASML для EUV-литографии (NXE:3300B)

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

Intel может отложить внедрение 7-нм техпроцесса до 2022 года

Один из лидеров в производстве современной микроэлектроники, корпорация Intel, может отложить внедрение 7-нанометрового техпроцесса до 2022 года, сообщают зарубежные источники. Ситуация может выглядеть довольно тревожной, особенно с учётом того, что альянс AMD и GlobalFoundries, похоже, планирует полностью пропустить 10-нм ступень и освоить 7-нм нормы производства уже к 2018 или к 2019 году. Речь идёт при этом о массовом производстве таких сложных чипов, как 48-ядерный AMD Starship, а также, вероятно, и новых поколений мощных дискретных графических ядер.

Полупроводниковый завод GlobalFoundries

Полупроводниковый завод GlobalFoundries

В настоящее время Intel ищет профессионального разработчика центральных процессоров для работы в новой исследовательской лаборатории Microarchitecture Research Lab, расположенной на территории Индии в городе Бангалор. В объявлении говорится, что успешный кандидат на данную должность должен будет присоединиться к инженерной команде, работающей «на острие разработки», причём речь идёт о создании процессорных и графических ядер, планируемых к выпуску в 2020 и последующих годах с использованием «футуристической» 7-нм технологии Intel. Впоследствии объявление было несколько изменено и число 2020 сменилось числом 2022.

Intel хорошо понимает проблемы на пути разработки и внедрения техпроцессов меньше 10 нм

Intel хорошо понимает проблемы на пути разработки и внедрения техпроцессов меньше 10 нм

Не исключено, что именно Intel трезво оценивает силы на пути внедрения столь тонкого техпроцесса, ведь при таком размере элемента мешать начинает уже сама природа физического мира. Даже при 10-нм нормах наблюдаются нежелательные квантовые эффекты, и переход на 7 нанометров обещает лишь осложнения в этом плане, и с ними придётся бороться, затрачивая на это немало ресурсов. В настоящее время на ближайший период компания делает ставку на активное внедрение 10-нм техпроцесса, которое будет идти в несколько этапов: первое поколение 10-нм должно смениться поколением 10-нм+, а затем и 10-нм++, причём кремний с двумя плюсами ожидается как раз в январе 2020 года, в то время как первые 7-нм чипы Intel должны появиться не ранее 2021 года, а скорее всего, это произойдет в 2022 году.

Кремниевая пластина Intel с чипами 10-нм FinFET (снимок techreport.com)

Кремниевая пластина Intel с чипами 10-нм FinFET (снимок techreport.com)

Но если у AMD и GlobalFoundries получится внедрить 7-нм техпроцесс уже в 2018‒2019 годах, то этот альянс имеет все шансы выбраться в технологические лидеры и при этом получить солидную фору во времени на доводку и полировку технологии. А для Advanced Micro Devices это может стать способом не просто догнать, но и существенно перегнать своего извечного конкурента в процессорной гонке.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

GlobalFoundries предложит две версии 7-нм техпроцесса

На конференции International Electron Devices Meeting (IEDM 2017), которая прошла в начале декабря, компания GlobalFoundries пролила чуть больше света на техпроцесс производства полупроводников с нормами 7 нм. Некоторые данные о техпроцессе 7LP (Leading-Performance) компания сообщала ранее. Теперь у нас появилась возможность дополнить данные и узнать кое-что новое, например, что в рамках 7-нм техпроцесса 7LP будет предложено два варианта выпуска полупроводников: для мобильного применения и для высокопроизводительных вычислений. По каким-то причинам GlobalFoundries не разделяет эти техпроцессы, хотя они значительно отличаются друг от друга.

300-мм подложки, обработанные в производственном комплексе Fab 1 компании GlobalFoundries

300-мм подложки, обработанные в производственном комплексе Fab 1 компании GlobalFoundries

Техпроцесс для 7-нм чипов мобильного назначения предполагает высокоплотное размещение транзисторов, каждый из которых будет состоять из двух рёбер FinFET (каждое ребро — это транзисторный канал, окружённый затвором). Это позволит уменьшить площадь кристалла на 30 % и даже больше по сравнению с 14-нм FinFET техпроцессом. Кроме этого производительность (частоту транзисторов) можно будет увеличить до 40 % или уменьшить потребление до 55 %.

Две версии 7-нм техпроцесса GlobalFoundries

Две версии 7-нм техпроцесса GlobalFoundries

Техпроцесс для производительных 7-нм решений, например, для процессоров компании AMD, подразумевает создание транзисторов с четырьмя рёбрами FinFET. Это очевидным образом увеличит площадь кристаллов и рабочие токи, зато позволит добавить ещё порядка 10 % производительности. Данная разновидность 7-нм техпроцесса также будет эксплуатировать более широкие проводники и увеличенные в диаметре отверстия сквозной металлизации. Кстати, компания AMD, как и Intel, для проводников и каналов с металлизацией планирует использовать кобальт. Это снизит явление электромиграции и уменьшит сопротивление межслойных соединений. В компании AMD не уточняют, как много слоёв металлизации будут использовать кобальт. Компания Intel переведёт на этот металл только два нижних металлических слоя.

«Анатомия» FinFET транзистора

«Анатомия» FinFET транзистора

В компании не уточняют геометрические размеры рёбер и их форму (профиль) применительно к техпроцессу 7LP. Можно подозревать, что эти параметры несильно отличаются от геометрических размеров рёбер для 10-нм техпроцесса Intel или даже проигрывают им. Например, «межрёберное» расстояние для 10-нм техпроцесса Intel составляет 34 нм (fin pitch), а для 7-нм техпроцесса AMD, о чём она сообщила на IEDM 2017, 30 нм. Из других данных, о которых Intel прямо не говорит, AMD сообщила о расстоянии между затворами (gate pitch) — 56 нм и о минимальном расстоянии между металлическими проводниками  (metal pitch) — 40 нм. Следует ожидать, что на данном этапе у Intel с этим чуть хуже.

Геометрические размеры рёбер транзисторов FinFET в 10-нм техпроцессе Intel

Геометрические размеры рёбер транзисторов FinFET в 10-нм техпроцессе Intel

Что касается использования EUV-сканеров, то GlobalFoundries будет вводить новое оборудование для выпуска 7-нм решений поэтапно. На первом этапе сканеры EUV будут задействованы для изготовления металлических слоёв вне кристалла (нижележащая контактная группа или BEOL) и для изготовления сквозных отверстий для металлизации для межслойных соединений в контактной группе. Это сократит процесс не менее чем на 10 производственных шагов на этапе литографической обработки кремниевой пластины. На втором этапе EUV-сканеры будут использоваться для изготовления некоторых критических слоёв уже при обработке кристалла. Оба этапа раннего внедрения EUV-сканеров в сумме обещают уменьшить стоимость работ на 20 % или чуть больше. В заключение напомним, что рисковое производство с нормами 7 нм компания GlobalFoundries начнёт во второй половине 2018 года с запуском массового производства в 2019 году.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *