Меню

10 нм техпроцесс что это – переход на техпроцессы 10, 7, 6 и 5 нм / Habr

Содержание

переход на техпроцессы 10, 7, 6 и 5 нм / Habr


Полупроводниковая фабрика Samsung в Остине (США)

Переход с 14 нм на 10 нм станет крупнейшим технологическим скачком в плотности транзисторов за всю историю. Плотность увеличивается сразу в 2,7 раза. Таким образом, закон Мура продолжит своё действие на ближайшие годы. Издание AnandTech собрало воедино информацию о планах различных компаний по строительству заводов нового поколения с техпроцессами 10, 7, 6 и 5 нм.

Нужно предварительно заметить, что измерение плотности транзисторов по размеру одного транзистора — не совсем корректная метрика. Например, компания Intel подсчитала, что в её 14 нм помещается на 23% больше транзисторов, чем в 14 нм у «других компаний». Такая разница образуется из-за меньшей высоты логической ячейки, меньшего расстояния между затворами и меньшего шага ребра (см. таблицу).

Например, шаг затвора (gate pitch, расстояние между затворами соседних транзисторов, включая ширину самих затворов) у Intel кардинально меньше, чем у других производителей. На 22-нанометровом техпроцессе оно было примерно таким же, как у конкурентов сейчас на 14/16 нм.

По шагу межсоединения (interconnect pitch, минимальное расстояние между слоями внутрисхемных соединений) у Intel нет такого кардинального преимущества, но всё равно конкуренты ещё не приблизились к показателю, которого Intel достигла уже на 14 нм.

Таким образом, «другие фабрики» достигнут «интеловской» плотности транизисторов с опозданием на три года: для этого им нужно внедрить техпроцесс 10 нм, чтобы сравняться с 14 нм у Intel, а сам лидер затем уйдёт далеко вперёд. По крайней мере, такие планы у самой Intel.

Интересно ещё и то, что нынешняя многократно усовершенствованная технология 14 нм++ третьего поколения у Intel будет лучше, чем первые образцы микросхем на 10 нм. Компания сама признаётся в этом. Ничего не поделаешь — новые технологии ещё нужно обкатать и проверить. То есть фактическое улучшение технологии 14 нм++ мы можем ждать где-то в районе 2020 года, и надеяться тут можно только на Intel, потому что конкуренты технологически отстают, несмотря на заявленные планы 10 и 7 нм (опять же, повторим, это со слов Intel, а каково на самом деле технологическое отставание конкурентов и существует ли оно — неизвестно).

В самом деле, было бы корректнее считать плотность транзисторов по факту: делить площадь микросхемы на количество транзисторов. Но как это сделать, если сами фабрики пока ещё не начали работать. Анализируя планы компаний, можно только сравнивать между собой сроки строительства, приравнивая одинаковую норму техпроцесса у одной компании с таким же параметром у другой: 14 нм к 14 нм, 10 нм к 10 нм и т. д.

Издание AnandTech собрало информацию ото всех крупных игроков полупроводниковой промышленности, которые планируют вложиться в модернизацию производства и строительство новых фабрик. Это компании GlobalFoundries (США), Intel (США), Samsung (Южная Корея), Semiconductor Manufacturing International Corporation (SMIC, Китай), Taiwan Semiconductor Manufacturing Company (TSMC, Тайвань) и United Microelectronics (UMC, Тайвань). Их планы на ближайшие годы можно суммировать в следующей таблице.

Как видно из таблицы, GlobalFoundries на ближайший год продолжит производство по техпроцессу 14LPP, но уже в конце 2018 года собирается начать массовое производство чипов 7 нм. Начало массового производство и начало продаж готовых изделий — не одно и то же. Эти два события могут разделять 4-7 месяцев. Сначала GlobalFoundries намерена использовать традиционную литографию глубоким ультрафиолетом (Deep Ultra Violet, DUV), где используются источники света с длиной волны 193 нм, а затем перейдёт на улучшенную технологию EUV (Extreme Ultra Violet) с длиной волны примерно в 20 раз меньше. В таких масштабах длина волны равняется примерно нескольким десяткам атомов, так что EUV открывает принципиально новые возможности в полупроводниковой промышленности.

Intel начнёт выпуск чипов 10 нм для мобильных устройств уже в этом году, хотя процессоры для настольных компьютеров пока останутся на 14 нм. В конце года планируется наладить производство по третьему поколению 14 нм++. Компания Intel — одна из первых, кто инвестировал в изучение EUV, но она пока не делает конкретных заявлений об использовании этой технологии. Подразумевается, что Intel не будет использовать EUV вплоть до 5 нм.

TSMC после внедрения 10 нм планирует быстро перейти на 7 нм, а Samsung, наоборот, собирается выпускать микросхемы 10 нм до 2019 года. Плотность размещения транзисторов зависит не только от их размеров, но и от совершенства технологии. Вероятно, 10 нм от Samsung обеспечат примерно такую же плотность, как 7 нм от TSMC. Здесь та же ситуация, как с технологическим превосходством Intel.

Samsung планирует внедрить литографию нового поколения EUV в 2019-2020 гг для выпуска транзисторов типа CLN7FF+.

Эксперименты c EUV ведут многие, но никто до сих пор точно не знает, удастся ли оседлать эту продвинутую технологию. Все планы компаний относительно EUV в таблице пока можно расценивать скорее как «желания».

habr.com

Эра 10-нм чипов — кто разрабатывает такие процессоры и что ждет индустрию в будущем

Производители полупроводниковых устройств успешно освоили 10-нм техпроцесс. В статье рассказываем, кто выпускает микросхемы на его основе и когда ждать 5- и 3-нм технологии.


Фото — Santi — CC BY-SA

Кто внедрил 10-нм


На протяжении нескольких лет Intel откладывали полномасштабное производство микросхем по 10-нм техпроцессу. Среди причин эксперты называли трудности с технологией multi-patterning, повышающей плотность транзисторов, и низкий выход качественных процессоров. Но в октябре этого года компания объявила, что ей удалось наладить стабильное производство чипов на новом техпроцессе.

Пока выпускаются лишь CPU для персональных компьютеров на архитектуре Ice Lake. Но компания обещает поставить Xeon (Ice Lake-SP) для дата-центров во второй половине 2020 года.

Аналогичные устройства выпускает AMD в партнерстве с тайваньской TSMC. В конце прошлого года они представили чип, выполненный по усовершенствованному 7-нанометровому техпроцессу. По физическим параметрам (из-за различных методик оценки) он напоминает 10-нм от Intel.

На основе нового техпроцесса построена линейка серверных процессоров AMD — EPYC. Продажи устройств AMD EPYC 7002 на архитектуре Zen 2 стартовали в конце лета. В своих дата-центрах чипы разместили такие компании, как Google и Twitter. Девайсы должны снизить энергопотребление серверов и на четверть сократить TCO. Также с новыми CPU уже работает разработчик суперкомпьютеров Cray. Устройства внедрили в вычислительную систему для команды «Ф1» — Haas.

Курс на дальнейшую миниатюризацию


В 2021 году Intel планирует перейти на 7-нм техпроцесс на основе EUV. Это — фотолитография в «жестком» ультрафиолете. Что интересно, первым продуктом, построенным на новом техпроцессе, станет не процессор, а графическая карта для дата-центров, суперкомпьютеров и других HPC-систем.

Также в Intel работают над 5-нанометровой технологией, но сроки завершения проекта неизвестны.

Работу над 5-нм ведут в TSMC — компания начала приготовления еще в июне прошлого года, вложив в разработку 25 млрд долларов. Часть средств направили на строительство фабрики в Тайване. Производство чипов запустят во втором квартале 2020-го.

В начале этого года о готовности выпускать мобильные процессоры по 5-нм технологии заявили в Samsung. Компания уже оборудовала производственную линию, строит специальный завод и даже принимает заказы на тестовые партии микросхем. Однако дата полноценного релиза пока неизвестна.

За пределами 5-нм


TSMC готовит запуск 5-нм техпроцесса и разрабатывает 3- и даже 2-нанометровые технологии. Компания оценила все возможные виды структур транзисторов и возводит фабрику (тоже в Тайване). К выпуску продукции предприятие приступит в конце 2022 года или начале 2023 года.


Фото — Enrique Jiménez — CC BY-SA

Изготовление 3-нм чипов планирует начать и Samsung — его должны запустить в 2021-м. Специалисты организации используют технологию GAAFET (Gate-All-Around Field-Effect Transistors), которая снижает потери напряжения, увеличивая энергоэффективность транзистора.

В гонке за миниатюризацией участвуют и исследовательские институты. Например, в прошлом году специалисты из центра Imec в партнерстве с компанией Cadence Design Systems уже разработали тестовые образцы микропроцессоров по 3-нм технологии.

Лидеры индустрии отмечают, что затраты на освоение новых технологий будут большими, а перейти на новые техпроцессы смогут только крупные компании, которые «отобьют» их благодаря широкому ассортименту продукции. В свою очередь, для преодоления технологических трудностей в процессе непосредственного производства отрасли понадобятся альтернативные решения. Поэтому в будущем можно ожидать появления кардинально новых процессорных технологий.
На нашем сайте мы рассказываем, как организована облачная инфраструктура 1cloud.ru, и на каком оборудовании она построена.

Железо облака 1cloud.ru расположено в четырёх географически распределенных дата-центрах и имеет два уровня производительности.

habr.com

Процессоры Intel Lakefield смогут выпускаться по 10-нм технологии следующего поколения

В последнее время складывалось впечатление, что корпорация Intel немного путается в нумерации поколений своего 10-нм техпроцесса. После знакомства с новым слайдом из презентации ASML становится понятно, что Intel не забывает о своих 10-нм первенцах, хотя и не делает на них ставку в коммерческом плане. Уже сейчас на рынке присутствуют ноутбуки на базе 10-нм процессоров Ice Lake, а в начале следующего года будут выпущены некие клиентские продукты, относящиеся к следующему поколению 10-нм технологии.

Источник изображения: Intel

Источник изображения: Intel

Отследить эволюцию классификации поколений 10-нм техпроцесса в интерпретации Intel достаточно просто. Майское мероприятие для инвесторов перечисляло три традиционных поколения: первое было привязано к 2019 году, второе носило обозначение «10 нм+» и было привязано к 2020 году, а третье фигурировало под обозначением «10 нм++», ассоциируясь с 2021 годом. На конференции UBS отвечающий в Intel за технологии и системную архитектуру Венката Рендучинтала (Venkata Renduchintala) пояснил, что даже после выхода первых 7-нм продуктов продолжит совершенствоваться 10-нм техпроцесс, и это вполне адекватно иллюстрируется слайдом из майской презентации.

Источник изображения: WikiChip Fuse

Источник изображения: WikiChip Fuse

На этой неделе внимание общественности привлёк другой слайд, который на конференции IEDM продемонстрировали представители ASML — компании из Нидерландов, выпускающей литографическое оборудование. От лица Intel этот партнёр процессорного гиганта брался обещать, что теперь переход на очередную ступень техпроцесса будет осуществляться раз в два года, и к 2029 году компания освоит 1,4-нм технологию.

Источник изображения: WikiChip Fuse

Источник изображения: WikiChip Fuse

Представители сайта WikiChip Fuse получили «заготовку» для этого слайда, на которой развитие 10-нм технологии описывалось иной последовательностью: от одного «плюса» в 2019 году к двум «плюсам» в 2020 году, и далее — три «плюса» в 2021 году. Куда делось дебютное поколение 10-нм техпроцесса, по которому Intel малыми партиями выпускала мобильные процессоры семейства Cannon Lake? Компания не забыла о нём, просто шкала времени на слайде не захватывает 2018 год, когда началось производство самых первых серийных 10-нм продуктов Intel.

Анонс процессоров Lakefield не за горами

Не забывает о такой последовательности и Венката Рендучинтала. По его словам, в начале следующего года на клиентский сегмент рынка выйдет первый продукт поколения «10-нм++». Наименование этого продукта не раскрывается, но если напрячь память, то можно установить соответствие с ранее озвученными планами Intel. Компания обещала, что вслед за мобильными процессорами Ice Lake появятся мобильные процессоры Lakefield, которые будут иметь сложную пространственную компоновку Foveros, в их составе как раз и будут использоваться 10-нм кристаллы с вычислительными ядрами. Четыре компактных ядра с архитектурой Tremont будут соседствовать с одним производительным ядром с микроархитектурой Sunny Cove, рядом расположится и графическая подсистема Gen11 с 64 исполнительными блоками.

Теперь мы можем утверждать, что процессоры Lakefield станут первенцами нового поколения 10-нм техпроцесса. Помимо прочего, они будут применяться компанией Microsoft в своих мобильных устройствах семейства Surface Neo. К концу следующего года обещаны мобильные процессоры Tiger Lake, которые тоже будут использовать версию техпроцесса «10 нм++». Если вернуться к классификации поколений 10-нм техпроцесса, то глава Intel Роберт Свон (Robert Swan) на недавней конференции Credit Suisse постоянно называл мобильные процессоры Ice Lake первым поколением 10-нм продуктов, словно забывая о Cannon Lake, которые вышли во втором квартале прошлого года. По сути, в этом толковании эволюционного пути 10-нм продуктов разногласия есть и среди высшего руководства Intel.

Источник изображения: Intel

Источник изображения: Intel

Венката Рендучинтала проявил свою приверженность к «альтернативной нумерации с тремя плюсами» в ещё одной оговорке. Он заявил, что проблемы с освоением 10-нм технологии сдвинули сроки появления соответствующих продуктов на два года от первоначально запланированных. В 2013 году ожидалось, что первые 10-нм продукты появятся в 2016 году. Фактически, они были представлены в 2018 году, что соответствует задержке на два года. В современных презентациях Intel чаще говорится о появлении первых 10-нм продуктов в 2019 году, под ними подразумеваются мобильные процессоры Ice Lake, а не Cannon Lake.

На пути к 10 нм: сложности только закаляют

Доктор Рендучинтала подчеркнул, что компания не дрогнула, столкнувшись с трудностями при освоении 10-нм технологии, и коэффициент увеличения плотности размещения транзисторов остался прежним — на уровне 2,7. На освоение 10-нм технологии ушло больше времени, чем планировалось, но технические параметры самого техпроцесса удалось выдержать без изменений. Intel не готова отказаться от использования 10-нм технологии и сразу перейти на 7-нм техпроцесс. Обе ступени литографии будут присутствовать на рынке одновременно на протяжении какого-то периода.

Серверные процессоры Ice Lake будут представлены во второй половине следующего года. По словам Рендучинталы, они выйдут ближе к концу 2020 года. Их появлению будет предшествовать анонс 14-нм процессоров Cooper Lake, которые предложат до 56 ядер и поддержку новых наборов команд. Как поясняет представитель Intel, в своё время при проектировании первых 10-нм продуктов выяснилось, что предлагаемые технологические новшества не могут уживаться без проблем, хотя их внедрение казалось простым при изучении каждого фактора в отдельности. Возникшие практические сложности и отсрочили появление 10-нм продуктов Intel.

Зато теперь при проектировании новых продуктов геометрическое масштабирование будет принесено в жертву предсказуемости сроков внедрения. Intel обязуется осваивать новые техпроцессы раз в два или два с половиной года. Например, в 2023 году появятся первые 5-нм продукты, которые будут выпускаться с использованием EUV-литографии второго поколения. Повышение частоты смены техпроцессов на уровне капитальных затрат будет компенсироваться возможностью повторного использования оборудования, ведь после освоения EUV-литографии в рамках 7-нм техпроцесса дальнейшее внедрение этой технологии будет требовать меньших усилий.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

3dnews.ru

Intel признала, что её передовые 10-нм нормы уступают техпроцессам конкурентов

Корпорация Intel в прошлом году потратила значительные усилия, пытаясь доказать инвесторам, что занимает лидирующую позицию в технологии производства чипов. Компания заявляла в частности, что её будущий 10-нанометровый техпроцесс может обеспечить вдвое большую плотность транзисторов по сравнению с конкурирующими 10-нм нормами.

И хотя это утверждение Intel, вероятно, соответствует действительности, реальность такова, что конкуренты начали производство 10-нм кристаллов ещё в конце 2016 или в начале 2017 года, а собственный 10-нм техпроцесс Intel всё ещё не используется для выпуска массовой продукции. Огромная задержка Intel в освоении 10-нм норм означает, что сравнения Intel были бессмысленны, ведь её 10-нм технологии придётся соперничать уже с 7-нм нормами конкурентов.

На недавней международной конференции по полупроводниковым схемам (International Solid-State Circuits Conference, ISSCC) инженер Intel, по-видимому, признал проблемы, которые отдел маркетинга его корпорации не замечает: 10-нм технология Intel уступает 7-нм нормам конкурентов в одном из критических показателей.

Большинство компьютерных процессоров включают в себя тип чрезвычайно быстрой памяти, известный как SRAM. Поскольку SRAM представляет собой общую почти для всех процессоров структуру, на ней удобно сравнивать относительную плотность тех или иных технологий производства чипов. Особенно если ячейки, хранящие один бит, требуют одинакового количества транзисторов.

Итак, согласно данным Intel, однобитовая шеститранзисторная ячейка SRAM, произведённая с соблюдением её 10-нм норм, занимает 0,0312 квадратных микрометра площади кристалла. Конкурирующая одноразрядная шеститранзисторная ячейка SRAM, производимая по 7-нм техпроцессу Samsung, TSMC и GlobalFoundries, занимает соответственно 0,026, 0,0272 и 0,0296 квадратных микрометра.

Как можно видеть, 7-нанометровые технологии трёх упомянутых компаний весьма различаются между собой, но 10-нм нормы Intel существенно уступают им всем. Так вот, на прошедшей конференции и представитель Intel согласился, что произведённые по их техпроцессу 10-нм ячейки SRAM, «лишь» на 15 % уступают самым мелким из известных 7-нм ячеек.

Учитывая, что Intel всегда заявляла о значительном превосходстве над конкурентами с точки зрения плотности транзисторов на кристалле, это знаковое признание. В конечном счёте, потеря лидерства Intel в этой области является ещё одним признаком неудовлетворительной работы производственного подразделения компании. Небольшое отставание в плотности транзисторов при печати SRAM не подорвёт соотношение сил, но если тенденция продолжится, то в перспективе Intel вполне может уступить лидерство в области технологий производства полупроводниковых кристаллов.

Задержки Intel уже привели к переносу запусков (и, в некоторых случаях, к отмене) важных продуктов, что ухудшило позиции компании. Вдобавок с подобными задержками в освоении более тонких производственных норм столкнулась исключительно Intel — TSMC и Samsung последовательно соблюдали заявленные графики перехода на новые технологические процессы в течение целого ряда лет. И даже GlobalFoundries, которая исторически была не особенно надёжной производственной компанией, похоже, начинает исправляться.

И если сейчас компания Intel потеряла лидерство в плотности размещения транзисторов на кристалле (очень важный показатель), то в перспективе она вполне может утратить и прочие преимущества, например, в области производительности и энергоэффективности. Сегодня Intel стоит перед выбором: либо удвоить усилия по развитию и преобразованию своего производственного подразделения, пытаясь снова выйти в лидеры, либо постепенно свернуть собственную печать чипов, передав её сторонним компаниям. Но в первом случае есть вероятность неудачи и, соответственно, дальнейшей сдачи позиций в течение многих грядущих лет.

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.

3dnews.ru

Что такое технологический процесс процессора и на что он влияет

Все современные вычислительные технологии базируются на основе полупроводниковой электронной техники. Для ее производства используются кристаллы кремния – одного из самых распространенных минералов в составе нашей планеты. С момента ухода в прошлое громоздких ламповых систем и с развитием транзисторных технологий этот материал занял важное место в производстве вычислительной техники.

Центральные и графические процессоры, чипы памяти, различные контроллеры – все это производится на основе кремниевых кристаллов. Уже полвека основной принцип не меняется, совершенствуются только технологии создания чипов. Они становятся более тонкими и миниатюрными, энергоэффективными и производительными. Главным параметром, который при этом усовершенствуется, является техпроцесс.

Что такое техпроцесс

Практически все современные чипы состоят из кристаллов кремния, которые обрабатываются методом литографии, с целью формирования отдельных транзисторов. Транзистор – ключевой элемент любой интегральной микросхемы. В зависимости от состояния электрического поля, он может передавать значение, эквивалентное логической единице (пропускает ток) или нулю (выступает изолятором). В чипах памяти с помощью комбинаций нулей и единиц (положений транзистора) записываются данные, а в процессорах – при переключении производятся вычисления.

В 14-нм технологии (по сравнению с 22 нм) сокращено количество барьеров, увеличена их высота, уменьшено расстояние между диэлектрическими ребрами

В 14-нм технологии (по сравнению с 22-нм) сокращено количество барьеров, увеличена их высота, уменьшено расстояние между диэлектрическими ребрами

Технологический процесс – это процедура и порядок изготовления какой-либо продукции. В электронной промышленности, в общепринятом значении, это величина, которая указывает на разрешающую способность оборудования, применяемого при производстве чипов. От нее также напрямую зависит размер функциональных элементов, получаемых после обработки кремния (то есть, транзисторов). Чем чувствительнее и точнее оборудование используется для обработки кристаллов под заготовки процессоров – тем тоньше будет техпроцесс.

Что значит числовая величина техпроцесса

В современном полупроводниковом производстве наиболее распространена фотолитография – вытравливание элементов на кристалле, покрытом диэлектрической пленкой, с помощью воздействия света. Именно разрешающая способность оптического оборудования, излучающего свет для вытравливания, и является техпроцессом в общепринятом толковании этого слова. Это число указывает, насколько тонким может быть элемент на кристалле.

Фотолитография – вытравливание элементов на кристалле

Фотолитография – вытравливание элементов на кристалле

На что влияет техпроцесс

Техпроцесс напрямую сказывается на количестве активных элементов полупроводниковой микросхемы. Чем тоньше техпроцесс – тем больше транзисторов поместится на определенной площади кристалла. В первую очередь это значит увеличение количества продукции из одной заготовки. Во вторую – снижение потребления энергии: чем тоньше транзистор – тем меньше он расходует энергии. Как итог, при равном количестве и структуре размещения транзисторов (а значит, и увеличения производительности) процессор будет меньше расходовать энергию.

Минусом перехода на тонкий техпроцесс является удорожание оборудования. Новые промышленные агрегаты позволяют делать процессоры лучше и дешевле, но сами набирают в цене. Как следствие, лишь крупные корпорации могут вкладывать миллиарды долларов в новое оборудование. Даже такие известные компании, как AMD, Nvidia, Mediatek, Qualcomm или Apple самостоятельно процессоров не делают, доверяя это задание гигантам вроде TSMC.

Что дает уменьшение техпроцесса

При уменьшении технологического процесса производитель получает возможность поднять быстродействие, сохранив прежние размеры чипа. К примеру, переход с 32 нм на 22 нм позволил вдвое увеличить плотность транзисторов. Как следствие, на том же кристалле, что раньше, стало возможным размещение не 4, а уже 8 ядер процессора.

Для пользователей главное преимущество заключается в снижении энергопотребления. Чипы на более тонком техпроцессе требуют меньше энергии, выделяют меньше тепла. Благодаря этому можно упростить систему питания, уменьшить кулер, меньше внимания уделить обдуву компонентов.

Схематический прогноз изменения техпроцесса в будущем

Схематический прогноз изменения техпроцесса в будущем

Техпроцесс процессоров на смартфонах

Смартфоны требовательны к аппаратным ресурсам и быстро расходуют заряд аккумулятора. Поэтому, для замедления расхода разряда, разработчики процессоров для мобильных устройств стараются внедрять в производство самые новые техпроцессы. К примеру, некогда популярные двухъядерники MediaTek MT6577 производились по техпроцессу 40 нм, а Qualcomm Snapdragon 200 ранних серий изготавливались по 45-нанометровой технологии.

В 2013-2015 годах основным техпроцессом для чипов, используемых в смартфонах, стал 28 нм. MediaTek (вплоть до Helio X10 включительно), Qualcomm Snapdragon серий S4, 400, а также модели 600, 602, 610, 615, 616 и 617 – это все 28 нм. Он же использовался и при изготовлении Snapdragon 650, 652, 800, 801, 805. «Горячий» Snapdragon 810, что интересно, был выполнен по более тонкому техпроцессу 20 нм, но это ему не сильно помогло.

Apple в своем A7 (iPhone 5S) тоже обходилась 20-нанометровой технологией. В Apple A8 для шестого Айфона применили 20 нм, а в модели A9 (для 6s и SE) уже используется новый 16 нм технологический процесс. В 2013-2014 годах Intel делали свои Atom Z3xxx по 22-нанометровой технологии. С 2015 года в производство запустили чипы с 14 нм.

Следующим шагом в развитии процессоров для смартфонов является повсеместное освоение техпроцессов 14 и 16 нм, а дальше стоит ожидать 10 нм. Первыми экземплярами на нем могут стать Qualcomm Snapdragon 825, 828 и 830.

mobcompany.info

5 нм против 3 нм / Habr

Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники


Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку вариантов – разрабатывать ли чипы по техпроцессу 5 нм, подождать 3 нм, или выбрать нечто среднее.

Путь к 5 нм хорошо определён, в отличие от 3 нм. После этого ландшафт становится запутанным, поскольку фабрики добавляют промежуточные техпроцессы, типа 6 нм и 4 нм. Переход на любые из этих техпроцессов весьма дорог, а преимущества не всегда очевидны.

Ещё один повод для беспокойства – сжимающаяся производственная база. В случае самых передовых техпроцессов выбор производителей оказывается невелик. В индустрии раньше было несколько ведущих производителей, но со временем эта область сузилась из-за резко возросшей стоимости и сокращения пользовательской базы. В целом, чем меньше производителей, тем меньше вариантов по технологиям и ценнику.

Сегодня Samsung и TSMC – два единственных производителя, работающих с техпроцессами 7 нм и ниже, хотя это может поменяться. Intel и китайская компания SMIC разрабатывают передовые техпроцессы. Intel, не самый крупный игрок в области коммерческого производства, испытывает проблемы с разработкой техпроцесса в 10 нм. И неясно, получится ли у SMIC выдать 7 нм, поскольку эта тема пока находится в стадии исследований и разработок (то же самое происходит у Intel с техпроцессом 10 нм).

Что касается более передовых техпроцессов, Samsung и TSMC работают с 7 нм, используя транзисторы finFET, и оба производителя собираются продолжать это и на 5 нм. В отличие от традиционных планарных транзисторов, finFET – это трёхмерные структуры, с лучшей производительностью и меньшими утечками.

Затем на 3 нм Samsung перейдёт от finFET к новой архитектуре транзисторов, нанослойным FET [nanosheet FET], представляющим собой развитие технологии finFET. TSMC пока не раскрывает своих планов на 3 нм, оставляя многих клиентов в подвешенном состоянии. TSMC, по информации источников, взвешивают разные возможности, включая нанослои, нанопровода и форсированные finFET. Intel, TSMC и другие также работают над новыми формами передовой упаковки в качестве возможных вариантов уменьшения масштаба.

Тем не менее, на 3 нм размере транзисторные технологии могут пойти в разных направлениях. finFET пока ещё могут сыграть, но этой технологии требуется сделать некоторые прорывы. Судя по всему, индустрии придётся готовиться к переходу на новую архитектуру 3 нм техпроцесса, и следующего промежуточного техпроцесса на 2 нм, судя по планам развития одной организации, работающей в этой области.

«5 нм – это всё ещё finFET, — сказал Наото Хоригучи, программный директор в Imec. – Допустим, что на 3 нм мы вступим в переходный период от finFET к другим архитектурам. Мы считаем, что это будут нанослои».

Нанослойные FET относятся к архитектуре транзисторов с круговым затвором (gate-all-around, GAA). И это не единственный из возможных вариантов. «Индустрия весьма консервативная. Её участники попытаются выжать из finFET всё до предела, — сказал Хоригучи. – На техпроцессе 3 нм у нас есть возможность использовать finFET. Но нам потребуется совершить несколько инноваций finFET, улучшить его в общем и целом».

Останутся ли производители чипов на техпроцессе 7 нм, мигрируют до 5 нм, 3 нм или до промежуточного? 7 нм обеспечивает достаточно производительности практически для всех применений, поэтому этот техпроцесс будет жить ещё долго. За его пределами существует несколько высокопроизводительных вариантов, и все они стоят дороже. И мы ещё посмотрим, успеют ли эти технологии появиться вовремя.


Рабочее напряжение разных техпроцессов – планарные транзисторы, finFET и нанослойные FET.

Разорение производств


Чип состоит из множества транзисторов, играющих роль переключателей. Много десятилетий прогресс интегральных схем соответствовал закону Мура, по которому плотность транзисторов на устройстве удваивается в срок от 18 до 24 месяцев.

В подобном ритме производители вводили новые техпроцессы с большей плотностью транзисторов, что позволяло индустрии снижать стоимость чипов в пересчёте на количество транзисторов. На каждом техпроцессе производители масштабировали спецификации транзисторов в 0,7 раз, позволяя индустрии увеличивать производительность на 40% при том же энергопотреблении и при двукратном уменьшении площади.

Индустрия интегральных схем следовала этой формуле и процветала. С 1980-х годов она прокладывала путь к быстрым ПК по снижающимся ценам.

К 2001 году существовало уже не менее 18 производителей с фабриками, где они могли производить чипы на 130 нм, что в то время было передовым техпроцессом, согласно IBS. Также тогда появлялось ещё несколько новых производителей, делавших чипы на заказ на чужих фабриках. Кроме того, практиковалось производство чипов для лабораторий, их разрабатывавших, но не имевших собственного производства.

К концу десятилетия стоимость производства и техпроцессы рванули ввысь. Не справившись с ростом цен, многие производители чипов перешли на модель fab lite. Они производили некоторые чипы у себя, а остальные отдавали на аутсорс.

Со временем всё меньше производителей чипов делали передовые устройства на собственных мощностях. Некоторые полностью избавились от производств или вышли из этого бизнеса.

Тем не менее, в 2000-х появилась бизнес-модель кремниевых мастерских. Мастерские отставали от Intel и других компаний по технологиям, но давали проектным компаниям доступ к различным процессам.

Следующее крупное изменение случилось на этапе в 20 нм, когда традиционные планарные транзисторы упёрлись в стенку и столкнулись с эффектом короткого канала. В ответ Intel в 2011 году перешла на транзисторную технологию следующего поколения, finFET, на 22 нм. Мастерские перешли на finFET на 16/14 нм.

У finFET есть несколько преимуществ по сравнению с планарными транзисторами. «В этой схеме весь транзистор вытягивается по вертикали так, что канал возвышается над подложкой, и вентиль обёртывается вокруг трёх сторон плавника. Увеличенная площадь контакта вентиля позволяет лучше контролировать ток утечки», — пишут Мэтт Когорно и Тошихико Мияшита из Applied Materials. Когорно – директор глобального менеджмента продукта, а Мияшита – главный технолог.

FinFET ещё и более сложные устройства, их сложнее производить и масштабировать на каждый следующий техпроцесс. В итоге, стоимость R&D выросли до небес. Так что теперь ритм полноценной смены техпроцесса вырос с 18 месяцев до 2,5 лет и более.

Цены на интегральные схемы также продолжают расти. Стоимость разработки планарного устройства на 28 нм разнится от $10 млн до $35 млн, как пишет Gartner. Для сравнения, стоимость проектирования однокристальной системы (SoC) на 7 нм разнится от $120 млн до $420 млн.

«Стоимость разработки сильно зависит от сложности SoC,- сказал Сэмюел Вэн, аналитик из Gartner. – Две трети уходят на разработку чипа. Остальное – разработка ПЛ, стоимость масок и улучшение выхода. Со временем стоимость проектирования тоже падает».

Тем не менее, тенденции цен изменили ландшафт мира интегральных микросхем. Со временем всё меньше компаний могут позволить себе оплачивать проектирование чипов для самых передовых техпроцессов. Многие из них полагаются в вопросах производства на мастерские.

Уменьшение количества клиентов вместе с ростом стоимости производства повлияли на ландшафт мастерских, производящих передовые чипы. К примеру, на рынке 16/14 нм осталось пять производителей/мастерских: GlobalFoundries, Intel, Samsung, TSMC и UMC. SMIC также работает с finFET на техпроцессе 14 нм.

А на 7 нм случился другой переход. Техпроцессы и стоимость производства продолжали расти, а прибыль на инвестиции была уже под вопросом. В итоге GlobalFoundries и UMC в прошлом году прекратили попытки разработать техпроцесс на 7 нм. Обе компании продолжают работать на рынке 16/14 нм.

Пытаясь развиваться дальше, Samsung и TSMC на полной скорости мчатся к рубежу 7 нм и за его пределы. После нескольких задержек, Intel планирует запустить производство на 10 нм в середине 2019 года, а на 7 гм – к 2021-му. SMIC тем временем не обещает никаких сроков.

Но не всем клиентам мастерских требуются передовые техпроцессы. Существует процветающий рынок для чипов на 28 нм. «Всё зависит от характеристик продукта, — сказал Вэн из Gartner. – Некоторым продуктам требуется максимальное быстродействие. Разработчики всё ещё могут использовать устаревшие техпроцессы. А чипы для применений, не требующих высокой производительности, могут жить и на техпроцессах N-1 и N-2».

Ему вторят другие. «Сколько компаний могут позволить себе новейший кремний сегодня с экономической точки зрения? Их количество уменьшается. На рынках, требующих чрезвычайно высокого быстродействия такая потребность будет всегда. Но в цепочке поставок, с точки зрения объёмов, в середине образуется разлом. Самым требовательным клиентам требуются техпроцессы на 7, 5, а возможно, когда-нибудь и 3 нм. Но все остальные немного притормозили», — сказал Уолтер Ын, вице-президент по бизнес-управлению в UMC.

Но в определённых случаях существует необходимость в самых передовых чипах – это серверы и смартфоны. Также появляется море новых стартапов, связанных с ИИ-чипами. Многие компании проектируют чипы для машинного обучения и глубокого обучения.

«Никто не спорит, что потребность считать что-либо в 10 раз быстрее, чем сегодня, всегда будет коммерчески полезной и конкурентоспособной, даже на не технических рынках. Тому свидетельством являются все эти уникальные достижения технологии глубокого обучения. Запросам на всё большую компьютерную мощность буквально не видно конца», — сказал Аки Фуджимура, директор D2S.

«Запросы на вычислительные мощности испытывали несколько крупных сдвигов – сначала это были GPU, а позднее – глубокое обучение, — сказал Фуджимура. – Глубокое обучение – это массивная технология поиска подходящих закономерностей, а обучение нейросетей – это задача последовательной оптимизации. Сейчас, когда мир придумал механизм обработки огромного количества данных и превращения их в полезную информацию в виде программы, способной к логическим умозаключениям, количество необходимых вычислений растёт вместе с количеством доступных данных. А поскольку количество данных во всех областях растёт в геометрической прогрессии, требования к вычислительным мощностям гарантированно значительно вырастут как минимум в области глубокого обучения».

Требуют ли чипы ИИ техпроцессов в 5 нм и дальше, остаётся неясным, но требования к увеличению вычислительных мощностей определённо существуют. Но миграция на эти техпроцессы не становится более лёгким или дешёвым процессом.

5 нм против 3 нм


Тем временем в начале 2018 TSMC достигла очередной вехи, став первым в мире производителем, задействовавшим техпроцесс на 7 нм. Позднее в гонку 7 нм включилась Samsung. Процесс изготовления чипов на 7 нм в основном базируется на finFET, и состоит из изготовления чипов с шагом затвора от 56 до 57 нм и минимальный шаг размещения металлических проводников [metal pitch] на 40 нм, согласно информации IC Knowledge and TEL.

В первой версии 7 нм TSMC использовала иммерсионную литографию на 193 нм и мультипаттеринг [multiple patterning]. В этом году TSMC обещает выпустить новую версию 7 нм с использованием экстремальной ультрафиолетовой литографии (EUV). EUV упрощает шаги техпроцесса, но это дорогая технология и у неё есть свой набор трудностей.

TSMC готовит свой новый техпроцесс на 5 нм к выходу в первой половине 2020 года. Новая технология от TSMC на 5 нм будет на 15% быстрее, чем 7 нм, и потреблять на 30% меньше энергии. Вторая версия техпроцесса 5 нм, выходящая в следующем году, будет на 7% быстрее. Обе версии будут использовать EUV.

TSMC уже набирает заказов на 5 нм. «Ожидается поступление крупных заказов от Apple, HiSilicon и Qualcomm, — сказал Хэндел Джонс, директор IBS. – Объёмы подложек составят 40 000 – 60 000 в месяц к четвёртому кварталу 2020».

Скорость перехода на 5 нм у TSMC оказывается меньше, чем на 7 нм. Во-первых, 5 нм – полностью новый процесс, с обновлёнными инструментами EDA и патентами. Кроме того, он дороже. В среднем стоимость разработки устройства на 5 нм варьируется от $210 млн до $680 млн, согласно Gartner.

Некоторые производители чипов хотят уйти от 7 нм, не тратя столько денег, сколько стоит 5 нм. Поэтому TSMC недавно представила новый промежуточный техпроцесс 6 нм, стоящий дешевле, но имеющий несколько компромиссов.

«Кажется, что числа N6 и N5 не отличаются, но на самом деле разрыв большой, — сказал С. С. Вей, директор TSMC на последнем совещании. – У N5, по сравнению с N7, логическая плотность увеличена на 80%. У N6 по сравнению с N7 всего на 18%. Как видно, существует большая разница между логической плотностью и эффективностью транзисторов. В итоге общее энергопотребление у чипа N5 ниже. У перехода на N5 есть много преимуществ. Но N5 – полноценный техпроцесс, и на разработку новых продуктов под него у клиентов уходит время. Прелесть N6 в том, что если у них уже есть проект под N7, то придётся потратить совсем мало усилий. Они могут перейти на N6 и получить определённые преимущества. И в зависимости от характеристик продукта и рынка, клиенты решат, что им делать».

Тем временем Samsung выкатила 5 нм, который появится в первой половине 2020. По сравнению с 7 нм, новый техпроцесс 5 нм от Samsung на finFET даёт до 25% уплотнения логики и на 20% меньше энергопотребления, или на 10% больше скорости работы.

Также Samsung представила новый промежуточный техпроцесс 6 нм, давая клиентам ещё один вариант. «У 6 нм есть преимущество масштабируемости с 7 нм и повторного использования интеллектуальной собственности», сказал Райан Ли, вице-президент по маркетингу мастерских Samsung. А в планах Samsung есть уже разработка техпроцесса finFET на 4 нм. Пока что по этой технологии практически нет открытой информации.

После 5 нм в ряду полноценных техпроцессов стоит 3 нм. Но 3 нм не для слабаков. Стоимость разработки устройства по техпроцессу 3 нм варьируется от $500 млн до $1,5 млрд, согласно IBS. Стоимость процесса разработки варьируется от $4 млрд до $5 млрд, а производства – от $15 до $20. «Стоимость 3 нм транзисторов должна быть на 20-25% больше, чем у 5 нм того же уровня готовности, — сказал Джонс из IBS. – Можно ожидать повышения эффективности на 15% и уменьшения энергопотребления на 25% по сравнению с 5 нм finFET».

Samsung – единственная компания, объявившая о планах по разработке техпроцесса 3 нм. Для этого мастерская перейдёт на архитектуру транзисторов с круговым затвором, нанослои. TSMC пока не раскрывает планов, из-за чего некоторые полагают, что компания отстаёт. «На 3 нм у Samsung высокая вероятность выйти на объёмное производство в 2021 году, — сказал Джонс. – TSMC ускоряет разработку, пытаясь угнаться за Samsung».

На 3 нм TSMC изучает нанослойные FET, нанопроводные FET и даже finFET. Один из способов расширения технологии finFET – использование в каналах материалов с высокой подвижностью, а именно германия. Сегодняшние finFET устройства используют кремний или кремний-германий (SiGe) в каналах. Увеличивая примесь германия, можно увеличить подвижность канала – то есть, скорость прохода электронов по устройству. И тут проблемой является контроль дефектов.

Расширение технологии finFET имеет смысл. finFET на 3 нм обеспечивает путь перехода от 5 нм. Однако тут есть свои проблемы. Теоретически технология finFET упирается в тупик, когда ширина плавника достигает 5 нм, что близко к текущему состоянию. «Сегодня мы используем по два плавника для NMOS и по три для PMOS в стандартной ячейке, — сказал Хоригучи из Imec. – Один из важных аспектов 3 нм в том, что нам нужно перейти на архитектуру с одним плавником для стандартных схем ячеек. Единственный плавник должен достаточно хорошо работать. Чтобы расширить технологию finFET до N3, нужна особая технология улучшения мощности единственного плавника и уменьшения фоновых паразитных явлений».

Кроме высокой мобильности finFET, следующий вариант – это GAA. В 2017 Samsung представила Multi Bridge Channel FET (MBCFET) для 3 нм. MBCFET – нанослойный FET. Пробная партия MBCFET от Samsung появится в 2020.

У нанослойных чипов есть преимущества перед finFET. У finFET затвор загибается вокруг плавника с трёх сторон. В нанослоях затвор находится с четырёх сторон плавника, что даёт больше контроля над током.

По сравнению с 5 нм, нанослойные FET от Samsung обеспечивают увеличение эффективности логической области до 45% и уменьшение энергопотребления на 50% или увеличение быстродействия на 35%. «У структуры finFET есть свои ограничения по масштабируемости, поскольку напряжение питания нельзя опускать ниже 0,75 В. Наша инновация с использованием нанослоёв позволяет уменьшить напряжение до значений менее 0,7 В», — сказал Ли из Samsung.

Технологий GAA бывает несколько видов, включая нанослойные FET и нанопроводные FET. Сам по себе GAA – следующий шаг после finFET. В этой системе finFET располагается на боку, а потом разделяется на горизонтальные кусочки. Кусочки формируют каналы. Материал затвора оборачивается вокруг каждого слоя.

По сравнению с нанопроводным FET, у такой схемы каналы шире, то есть, выше производительность и ток возбуждения. «У нанослоёв больше эффективная ширина, — сказал Хоригучи из Imec. – Нанопровода гораздо лучше справляются с электростатикой. Но их поперечное сечение очень мало. Это не даст преимуществ в вопросе эффективной ширины канала».

У архитектур GAA есть несколько проблем. Обычно они дают крайне небольшой прирост по сравнению с finFET на 5 нм. Производить чипы по этой технологии довольно тяжело.

«Следующее поколение GAA на 3 нм и ниже добавляет очередной порядок сложности в производство, — сказал Ричард Готшо, вице-президент и технический директор Lam Research. – На первый взгляд, она выглядит, как модификация finFET. Однако требования усиливаются, и сложность этой архитектуры GAA значительно выше, чем у finFET».

В процессе производства нанослойных чипов первый шаг – размещение тонких чередующихся слоёв SiGe и кремния на подложке. «У нас получается стопка кремний, кремний-германий, кремний. Мы называем это сверхрешёткой», — сказал в недавнем интервью Намсун Ким, главный директор инженерного управления Applied Materials. «Имея германиевое содержимое, необходимо обеспечить ему хороший слой изоляции».

Как минимум, пачка должна состоять из трёх слоёв SiGe и трёх кремния. Затем на пачку наносятся крохотные листовые структуры. После этого формируется изоляция с канавками, а потом – внутренние разделители.

Затем слои SiGe удаляются из сверхрешётки, оставляя кремниевые слои с пустым пространством между ними. Каждый кремниевый слой формирует основание листа или канала в устройстве. Затем необходимо нанести материал с высокой диэлектрической проницаемостью для создания затвора. «Между нанопроводами минимальное расстояние. Очень маленькое. Проблема в том, чтобы поместить туда металл рабочей толщины», — сказал Ким.

Индустрия годами работала над созданием технологии GAA, однако всё ещё остаются определённые проблемы. «Одна из главных – паразитная ёмкость, — сказал Ким. – Если вы спросите меня, каковы главные проблемы технологии GAA, то их две. Внутренние разделители и изоляция подложки».

Что дальше?


Насколько хватит технологии GAA или нанослоёв? «Нанослои проживут два-три следующих техпроцесса. Мастерские могут изготовить нанослои на N3. Следующее поколение так уж точно. А после этого, возможно, придётся изменить интеграцию нанослоёв или архитектуру. Но это всё равно будет архитектура нанослоёв», — сказал Хоригучи из Imec.

Индустрия исследует способы улучшения технологий GAA и finFET на передовых техпроцессах. В данный момент устройства с GAA дают небольшое преимущество по сравнению с finFET. К примеру, у предыдущего нанослойного чипа от Imec шаг затвора составлял 42 нм, а минимальный шаг размещения металлических проводников – 21 нм. Для сравнения, у finFET на 5 нм может быть шаг затвора в 48 нм и минимальный шаг размещения металлических проводников в 28 нм.

В лаборатории Imec продемонстрировала масштабируемость устройства на полупроводниках p-типа с двойной стопкой GAA и германием в канале. Используя схему без расширений, Imec разработала нанопровод с длиной затвора порядка 25 нм. Его можно приспособить и для нанослоёв. Как и у предыдущей версии, размер проводов составляет 9 нм.

Германий может сыграть роль в продолжении использования finFET за пределами техпроцесса в 5 нм. Imec продемонстрировала Ge nFinFET с рекордно высокими показателями Gmsat/SSsat и PBTI. Они были достигнуты благодаря улучшению замены материала с высокой диэлектрической проницаемостью.

Мы ещё увидим, удастся ли расширить технологию finFET до 3 нм. Также непонятно, появятся ли нанослойные чипы вовремя. В этом меняющемся ландшафте множество неизвестных и неопределённостей, и не существует графика прояснения ситуации.

habr.com

Новые техпроцессы для производства микросхем все чаще откладывают — почему?

В конце августа производитель полупроводниковых интегральных микросхем GlobalFoundries (работает с AMD) прекратил разработку 7-нанометровых техпроцессов. За несколько месяцев до этого компания Intel объявила, что вновь откладывает выпуск своего 10-нанометрового чипа.

О причинах этих решений и ситуации в индустрии, рассказываем дальше.


/ фото Intel Free Press CC

Пара слов о технологических процессах


Отдельные транзисторы на чипе формируются методом фотолитографии. В этом случае на кремниевую подложку наносят тонкую фоточувствительную полимерную пленку, называемую фоторезистом. Затем этот фотослой обрабатывают светом (производят так называемое экспонирование) через фотошаблон с необходимым рисунком. Проэкспонированные участки смываются в проявителе, а затем производится вытравливание кристаллов.

Компании уменьшают техпроцессы, чтобы увеличить количество продукции из одной заготовки и снизить энергопотребление финального чипа. Производитель получает возможность увеличить быстродействие микросхемы, оставив её размеры на прежнем уровне.

Долгое время эта тенденция (на уменьшение техпроцессов) оставалась справедливой. Но сейчас ИТ-компании начали откладывать или вообще прекращать разработку новых техпроцессов. Отчасти это связано с удорожанием оборудования и высоким уровнем брака.

Подробнее в ситуации разбираемся далее.

Почему GlobalFoundries отменили 7-нм


GlobalFoundries производят кремниевые пластины на восьми заводах по всему миру. Компания должна была выпустить на рынок 7-нм микросхемы во втором квартале 2018 года. Однако за пару недель до предполагаемого релиза, GlobalFoundries решили всё отменить.

Вместо этого, организация сосредоточит свои усилия на разработке специализированных норм производства 14LPP (Low-Power Plus) и 12LP (Leading-Performance) и создании различных встроенных запоминающих устройств.

Платформа 14LPP — это усовершенствованная версия 14-нм процесса на базе 3D FinFET-транзистора. Она повышает производительность устройств на 55% и уменьшает их энергопотребление на 60% (по сравнению с 28-нм). А 12LP — это техпроцесс изготовления полупроводников, заточенный под нужды систем ИИ, смартфонов и автомобильной электроники.

По словам CTO GlobalFoundries Гэри Пэттона (Gary Patton), причиной стратегического поворота стали не технические проблемы, а финансовые вопросы. Компания вложила миллиарды долларов в разработку 7-нанометровых микросхем. Первое поколение, в котором используют иммерсионную литографию, было почти завершено. Но на второе и третье (они требовали более глубокие УФ-диапазоны для увеличения плотности транзисторов) средств уже не хватало.

Вместе с отменой 7-нм, GlobalFoundries остановили разработку 5-нанометровых и 3-нанометровых техпроцессов. Из-за смены курса GlobalFoundries сократит пять процентов сотрудников и пересмотрит соглашения с AMD и IBM. В частности, с IBM компания поработает до конца года, а дальше прекратит исследования новых техпроцессов.

Кто еще отложил разработку


Еще одной организацией, которая отложила выход чипов по новому технологическому процессу, стала Intel. ИТ-гигант передвигает масштабный релиз 10-нм схем уже два года. В этот раз старт продаж первых 10-нм продуктов передвинули на конец 2019.

По словам представителей компании, причина задержки — низкий выход годных процессоров. Есть мнение, что проблема связана с технологией multi-patterning и применением кобальта.

Производственные объемы растут медленнее, чем планировалось. Технически Intel уже поставляет 10-нм микросхемы малыми партиями. Например, первые Core i3-8121U — 10-нм процессоры семейства Cannon Lake — уже работают в ноутбуках Lenovo. Однако о массовом производстве чипов говорить не приходится.


/ фото Intel Free Press CC

Другие игроки рынка тоже не торопятся ставить разработку новых техпроцессов на поток. В UMC пока остановились на 14-нм техпроцессе, а в Samsung обещают 7-нм, но тоже не раньше 2019.

Основные причины


Как мы уже говорили, дороговизна перехода — одна из причин, почему GlobalFoundries свернули свои проекты. И по мнению Gartner, она является основной. По оценкам аналитиков стоимость разработки 7-нм технологии составляет примерно 270 млн долларов.

Оборудование для EUV-литографии, нанолисты, экзотические материалы вроде рутения — все эти вещи стоят недешево, но без некоторых из них уже сложно обойтись. Чтобы окупить инвестиции в производство, нужно выпускать по 150 млн чипов в год. Поэтому реализация 7-, 5-, 3- и 2-нм процессов может оказаться коммерчески невыгодной.

При этом даже если микросхему и создают, то «выхлоп» по производительности не всегда оказывается значительным. Например, в Qualcomm считают, что 5-нм процесс не сильно превзойдет 7-нм по характеристикам, а вложить в его разработку придется несколько миллиардов долларов.

Вторая причина — велика вероятность ошибки и ее цена. К примеру, задержки в поставках 10-нм техпроцесса Intel «влетели в копеечку» одному из ИТ-гигантов с капитализацией в 20 млрд долларов.

Резиденты HN выделяют и другие причины замедления прогресса в индустрии полупроводников. Например, один из пользователей полагает, что уменьшение размеров кристалла плохо сказывается на его охлаждении. Поэтому компании стараются вложить средства в разработку более энергоэффективных технологий, а не уменьшение размеров кристаллов (именно по этому пути пошли в GlobalFoundries).

Почему обновления все-таки нужны


Представители индустрии считают, что уменьшать техпроцессы все равно придется. Это позволит обеспечить эффективную работу систем ИИ, МО, 5G-сетей и IoT. По предварительным расчетам разработчиков из TSMC, 7-нм техпроцесс улучшит производительность на 30% и вполовину уменьшит энергопотребление процессора (по сравнению с 10-нм).

Однако Дэвид Хемкер (David Hemker), старший VP в компании Lam Research, производящей полупроводники, подчеркивает, что отрасли понадобятся новые решения, чтобы справиться с растущими сложностями производственных процессов.


/ фото Fritzchens Fritz PD

Пока что EUV-литография генерирует слишком много дефектов при производстве чипов. Но если продолжить совершенствовать технологию, она должна сократить время и расходы на разработку новых техпроцессов.

Несмотря на все сложности производства, некоторые представители индустрии уже делают прогнозы на процессы менее 5-нм и говорят о сроках выпуска таких чипов. Так, например, в TSMC — тоже занимающейся производством полупроводников — уже строят планы по разработке 3- и 2-нм техпроцессов. А исследовательский центр Imec вместе с компанией Cadence Design Systems даже разработали тестовые образцы микропроцессоров по технологии 3-нм.

Поэтому в будущем мы определённо увидим применение этим технологиям, только этот момент может наступить немного позднее, чем предполагалось изначально.



P.S. Дополнительные материалы из Первого блога о корпоративном IaaS:
P.P.S. Статьи по теме из нашего блога на Хабре:


Чем мы занимаемся в ИТ-ГРАД: • IaaS • PCI DSS хостинг • Облако ФЗ-152

habr.com

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *